pitalica
Da li bi neko mogao da mi objasni zasto su a i b u prvom primeru identicni, a u drugom komplementarni?
Prvi primer:
library ieee;
use ieee.std_logic_1164.all;
entity Test is
port(a: in std_logic; e: out std_logic);
end Test;
architecture Test1 of Test is
signal connect: std_logic;
begin
barel: process is
begin
connect<=a;
wait on a;
end process barel;
memo: process is
begin
e<=connect;
wait on connect;
end process memo;
end Test1;
Drugi primer:
library ieee;
use ieee.std_logic_1164.all;
entity Test is
port(a: in std_logic; e: out std_logic);
end Test;
architecture Test2 of Test is
signal connect: std_logic;
begin
barel: process is
begin
connect<=a;
wait on a;
end process barel;
memo: process is
begin
e<=connect;
wait on a;
end process memo;
end Test1;
Prvi primer:
library ieee;
use ieee.std_logic_1164.all;
entity Test is
port(a: in std_logic; e: out std_logic);
end Test;
architecture Test1 of Test is
signal connect: std_logic;
begin
barel: process is
begin
connect<=a;
wait on a;
end process barel;
memo: process is
begin
e<=connect;
wait on connect;
end process memo;
end Test1;
Drugi primer:
library ieee;
use ieee.std_logic_1164.all;
entity Test is
port(a: in std_logic; e: out std_logic);
end Test;
architecture Test2 of Test is
signal connect: std_logic;
begin
barel: process is
begin
connect<=a;
wait on a;
end process barel;
memo: process is
begin
e<=connect;
wait on a;
end process memo;
end Test1;
Previous by date: FIFO?
Next by date: mala ispravka
Previous by thread: FIFO? Next by thread: pitalica
Previous by thread: FIFO? Next by thread: pitalica